01 und case